• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 159건

adder IS PORT ( a, b, c : IN BIT ; s, cout : OUT BIT ); END adder; ARCHITECTURE Behave OF adder IS BEGIN s <= (NOT a AND((NOT b AND c)OR(b AND NOT c)))OR(a AND NOT(((NOT b AND c)OR(b AND NOT c)))); cout <= (a AND b)OR(b AND c)OR(a AND c); END Behave; Project #
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
project 내에서 여러 개의 file을 만들어 사용하는데 main project의 이름과 같은 file이 main file이 되어 이 main file 에 대해서만 waveform을 비롯한 결과들이 simulation된다는 것을 이해했다. 8.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, S
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
project 내에서 여러 개의 file을 만들어 사용하는데 main project의 이름과 같은 file이 main file이 되어 이 main file 에 대해서만 waveform을 비롯한 결과들이 simulation된다는 것을 이해했다. 8.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, S
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Adder of 4-bits 9 5.3 Multiplexer 9 5.4 Simulation of CSA (Carry Select Adder) 10 6. An Analysis of CSA using MAX+plus II 11 6.1 Simulation with Wavefirn Editor 11 6.2 Timing Analyzer, Delay Matrix 13 7. VHDL with Xilinx ISE 6 Project Navigator 14 Ap
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2011.05.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디자인한 STLC 프로그램이 최적화된 설계인지는 잘 모르겠지만 앞서 언급했던 cnt overflow 현상만 보정해주면 정확한 설계라고 보여진다. - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test plan &
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2015.04.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

Digital Economy I. 서 론 제 1 절 문제의 제기 제 2 절 연구의 목적 및 구성 II. 전통산업의 IT화와 e-Transformation 제 1 절 새로운 경영 패러다임 제 2 절 전통산업의 IT화 제 3 절 전통기업의 e-Transformation 제 4 절 디지털 비즈니
  • 페이지 23페이지
  • 가격 3,800원
  • 발행일 2005.10.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1건

common alternating current systems. The following figure shows a typical block diagram of a monopole system. Explain main function of the rectifier and the inverter and design a simple circuit of the rectifier and inverter. Also, describe a possible problem of using rectifier / inverter and the so
  • 가격 9,500원
  • 등록일 2015.10.08
  • 파일종류 한글(hwp)
  • 직종구분 기타

파워포인트배경 6건

가격 : 20,020원 (-4,620원)
할인가 : 15,400원(10페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(10페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(10페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
top