|
것을 알 수 있다. Process문에 의한 동작적 표현방법은 signal a와 b의 vector 크기와 무관하게 if a=b then과 같이 간결한 표현이 가능하도록 자료흐름적 표현 방법과 달리 매우 편리한 기술 표현이다. 1. Entity
2. Architecture
3. VHDL
4. Process문
|
- 페이지 4페이지
- 가격 800원
- 등록일 2009.05.04
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Description Language) 특징
2. VHDL 규칙과 표현
3. Entity 선언과 Architecture Body 선언
4. 객체(Object)와 자료형(Data Type) 및 연산자(Operator)
5. 동작적 표현(Behavioral Description)과 구조적 표현 (Structural Desciption)
6. 순차 처리문과 병행 처리문
|
- 페이지 15페이지
- 가격 1,500원
- 등록일 2003.10.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VHDL을 이용하여 ALU(Arithmetic logic unit)을 설계해보고, 합성 툴을 이용한 합성을 통하여 설계된 ALU가 정상적으로 동작하는지 여부를 확인해보는 것 이였습니다. 전반적인 Code에 조건문으로 IF문을 많이 사용하였고, 각 블록 단위로 코딩을 실시하
|
- 페이지 9페이지
- 가격 2,300원
- 등록일 2012.06.13
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
process로 표현한 VHDL 문장은 다음과 같으며 이를 수행하고 그 결과를 분석하라.
Source Code
library ieee;
use ieee.std_logic_1164.all;
entity moore_3p is
port( clk, x, reset: in std_logic;
y: out std_logic_vector(2 downto 0) );
end moore_3p;
architecture sample of moore_3p is
type states is (s0
|
- 페이지 18페이지
- 가격 2,000원
- 등록일 2010.11.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Vhdl Module파일이 3개나 되어서 처음에 소스코드를 작성하는데에 엄청나게 애를 먹었다. 또한, testbench를 시뮬레이션 돌렸을 때, 처음 파형에 unknown값이 있어서 계속 오류가 나는 줄 알고 원래 제대로 작성되었던 소스코드를 고치고 고쳐서 결국
|
- 페이지 10페이지
- 가격 2,500원
- 등록일 2010.01.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|