|
Process문은 하드웨어 시스템을 모듈별로 기술하는데 편리 하다. 시스템은 하드웨어 모듈로 구성되어 있고, 각 모듈은 병행처리를 하면서 서로간의 통신을 통해 관계를 유지한다. Architecture내에 여러 개의 Process문이 있을 수 있으며 각 Process문
|
- 페이지 4페이지
- 가격 800원
- 등록일 2009.05.04
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
프로세스 文(Process Statement)
하드웨어 모듈 간 병행문 내부의 순차적 동작
동작적 표현(Behavioral Description)에서 주로 사용
【예】Process文의 例
【예】 Synchronous reset을 사용하는 D-flip/flop의 예
【예】 동일한 동작의 3개 architecture body에서 process문
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2004.10.17
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
문 사용)
1.소스
library ieee;
use ieee.std_logic_1164.all;
entity comp2 is
port (a, b : in bit_vector (3 downto 0);
equal : out bit);
end comp2;
architecture sample of comp2 is
begin
process (a,b)
begin
if a = b then
equal <= '1';
else
equal <= '0';
end if;
end process;
end sample;
2.시뮬레이
|
- 페이지 26페이지
- 가격 3,300원
- 등록일 2014.01.15
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
architecture Behavioral of encoder4x2 is
begin
process (X) -- process 문에서 동작하는 모든 것을 써줘야함 괄호안에
begin
case X is
when "0001" => Y <= "00";
when "0010" => Y <= "01";
when "0100" => Y <= "10";
when "1000" => Y <= "11";
when others => Y <= "ZZ"; --ZZ는
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2011.06.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
architecture sample of and_logic is
begin
process( a, b )
begin
for I in 3 downto 0 loop
y(i) <= a(i) and b(i); -- 변수 i에 대해서 4번 반복
end loop;
end process;
end sample;
◈ While-Loop형식
While (조건) Loop문은 조건이 참이면 loop에 둘러싸인 순차 처리문을 반복수행
|
- 페이지 15페이지
- 가격 1,500원
- 등록일 2003.10.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|