• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 5,613건

설계하는 것. 이렇게 두가지 방법이 있었는데, 우리 조는 FPGA 킷을 이용하지 않는 쪽을 선택하였다. 한 학기 동안 논리회로 설계실험 과목을 수강하면서 생소했던 vhdl coding을 배우고, 매주 이론과 실습을 반복하면서, vhdl이라는 언어에 친숙해
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계하는 실험이었다. 지난 번 실험 때는 vhdl이라는 것이 너무나도 생소하였고, model sim이나 xilinx 프로그램을 태어나서 처음 접하였기에 많이 헤맸었다. 하지만 실험 내용을 집에서 연습해 보면서 어떤 방식으로 논리회로가 동작하는지 원리
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계보다 코딩으로 기술 가능한 점, Simulation을 통해 오류를 찾기 쉬운점, 정확한 논리식이 불필요하고 알고리즘에 의해 구현가능한 점, 쉽게 수정 가능한 점을 이번 Term Project를 통해 느끼게 되었습니다. 1.개요 -VHDL언어란 2. Term Project주
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
f door_lock_mod_tb is component door_lock port ( clk : in std_logic; rst : in std_logic; ps_start : in std_logic; ps_end : in std_logic; ps_mod : in std_logic; ps_num : in std_logic_vector (3 downto 0); door_open : out std_logic; alarm : out std_logic ); end component; signal clk : std_logic; signa
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2014.02.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
f door_lock_mod_tb is component door_lock port ( clk : in std_logic; rst : in std_logic; ps_start : in std_logic; ps_end : in std_logic; ps_mod : in std_logic; ps_num : in std_logic_vector (3 downto 0); door_open : out std_logic; alarm : out std_logic ); end component; signal clk : std_logic; signa
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2014.03.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 28건

설계하였다. <1> 전하펌프를 설계하는데 있어서는 위에 회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
yield tiny hairpin-line resonator filter", MW&RF November 1999 [5] 곽우영,박진우, “ Hairpin Line 여파기의 간단화된 등가회로”, 한국통신학회논문지 99-9 Vol.24 N0.9A Ⅰ. 서 론 Ⅱ. 설계 이론 Ⅲ. 설계 및 시뮬레이션 Ⅳ. 제작 및 측정 Ⅴ. 결 론
  • 페이지 5페이지
  • 가격 2,000원
  • 발행일 2008.11.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로를 pspice 툴을 이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로봇이 물건을 원하는 위치에 옮기는 동작에서 로봇의 그립이 먼 거리에 있을 때와 가까이 있을 때 분
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도 및 도면 11 4.1 조작부 11 4.1.1 전체 11 4.1.2 ATmega128 12 4.1.3 JTAG Port 13 4.1.4 Power(+3.3v) 13 4.1.5 RF(Zigbee) 14 4.1.6 TFT-LCD 14 4.2 동작부 15 4.2.1 전체 15 4.2.2 ATmega128 16 4.2.3 RF(Zigbee) 17 4.2.4 Servo
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 570건

논리대결'에 참여하여 2연승을 차지하기도 하였습니다. 이런 저의 열정과 에너지를 대우조선해양에서 발산하고 앞서 말씀드린 저의 비전을 함께 성취하고 싶습니다. ㅇ사회생활과 연수경험 - 건설에 에세이 쓸때 참고 (한화건설) 아르바이트
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
명확히 전달했고, 동시에 다른 부서에서 제기하는 요구사항과 피드백을 적극적으로 수렴했습니다. 특히 회로 설계 과정에서 발생한 제어 신호와 신호 무결성 문제를 해결할 때, 전기공학 담당자와 논리 설계자, 시제품 검증팀과 함께 머리를
  • 가격 3,000원
  • 등록일 2025.04.21
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
회로설계 Logic Design 자기소개서 1. 본인이 회로설계 분야에 지원하게 된 계기와 관련 경험을 구체적으로 서술하시오. 2. 논리 설계 과정에서 직면했던 어려움과 이를 해결한 방법을 설명하시오. 3. 팀 프로젝트 또는 협업 경험을 통해 얻은
  • 가격 3,000원
  • 등록일 2025.04.30
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
회로 설계 자기소개서 1. 본인이 LG 디스플레이 R&D 회로 설계 분야에 지원하게 된 계기와 관련 경험에 대해 기술해 주세요. 2. 회로 설계 프로젝트를 수행하며 직면했던 어려움과 이를 극복한 방법에 대해 구체적으로 서술해 주세요. 3. 최
  • 가격 3,000원
  • 등록일 2025.04.29
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직

서식 1건

top