|
nt seg -- 사용 할 소회로 선언
port (
clk_4M : in std_logic;
rstb : in std_logic;
seg : out std_logic_vector (6 downto 0);
digit : buffer std_logic_vector (5 downto 0)
);
end component;
signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화
signal CLK_4M : std_logic:=\'0\';
signal DIGIT
|
- 페이지 5페이지
- 가격 1,500원
- 등록일 2019.06.29
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로를 구성하고, 그 회로에 표시된 각 점에서의 논리를 측정하여 표에 기입하라.
1. Exclusive-OR 생성기
그림 4-1. Exclusive-OR 생성기
표 4-1
A
B
X
0
0
0
1
1
0
1
1
그림 4-2. Exclusive-OR 생성기
표 4-2
A
B
X
0
0
0
1
1
0
1
1
그림 4-3. Exclusive-OR 생성기
표 4-3
A
B
X
0
0
0
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2010.12.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리식으로 간소화하여 논리도로 나타내시오.
3. 10진수 0에서 5까지에 대해 익세스-3 코드를 작성하고, 표 12-3R의 결과와 비교를 하시오. 이들이 서로 일치하는가?
4. 그림 12-7과 표 12-3R을 비교하고, 그림 12-7의 회로가 익세스-3 코드를 어떻게
|
- 페이지 10페이지
- 가격 1,000원
- 등록일 2010.12.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리기호로 그리시오.(Vcc 와 GND 생략)
2. 표 3-2-1(정논리) 의 데이터를 사용하면 이 게이트가 무슨 형인지를 설명하시오. 그 동작을 나타내는 논리기호를 그리시오. 부울 변수처럼 핀 번호를 사용하여 회로에 대한 부울대수 식을 쓰시오.
3. 표 3
|
- 페이지 10페이지
- 가격 1,000원
- 등록일 2010.12.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리회로및컴퓨터구조실험, 홍진
전희종 외(2007) 디지털시스템, 문운당
진경시 외(2000) 디지털 공학, 기전연구사
e비즈니스시대의 경영정보시스템, 방송통신대학교, 2005
Philip Miller, 마스터링 TCP/IP 응용편, 성인당, 2005
네이버 지식백과, IT용어
|
- 페이지 7페이지
- 가격 3,500원
- 등록일 2018.09.05
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
논리회로및컴퓨터구조실험, 홍진
전희종 외(2007) 디지털시스템, 문운당
진경시 외(2000) 디지털 공학, 기전연구사
e비즈니스시대의 경영정보시스템, 방송통신대학교, 2005
Philip Miller, 마스터링 TCP/IP 응용편, 성인당, 2005
네이버 지식백과, IT용어
|
- 페이지 7페이지
- 가격 4,000원
- 등록일 2019.03.24
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
회로도를 디자인해 보았다는 점에 의의를 두고자 한다. 직접 디자인 하면서 배웠던 소자들이 실제 작품 구성 시 어떠한 역할을 할 수 있는지 직접 느낄 수 있었다. 예비보고서
작품구상
구성작품의 동작
블록도
사용IC Data sheet
결과보
|
- 페이지 5페이지
- 가격 2,000원
- 등록일 2009.09.18
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
0
1
1
1
1
0
0
0
1
1
1
0
0
1
0
0
1
0
1
0
1
1
1
0
1
1
1
0
1
1
0
1
0
1
위의 진리표를 POS 형태로 간략화한 후 OR-AND 회로망을 얻고, 이를 NOR-NOR 회로망으로 변환한 뒤 실혀하여라. 또한, NOR-NOR 회로망이 NAND-NAND회로망과 같은 출력을 얻을 수 있음을 보이고, 사용된
|
- 페이지 8페이지
- 가격 4,200원
- 등록일 2012.12.07
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
예비보고서
멀티플렉서
제출일자 : 2015. 04. 29
1. 실험 관련 이론
-목적
조합논리회로의 또 다른 예로서 디멀티플렉서의 동작 원리 및 특성을 확인한다.
-관련이론
1) 멀티 플렉서
멀티플렉서(multiplexer)는 여러 개의 입력선 으로부터 필요한 데이
|
- 페이지 15페이지
- 가격 6,300원
- 등록일 2016.01.08
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
논리회로를 구현하는
시간도 줄어들었고 동작기능을 보면 무엇을 이용해야할지 대충 감이 왔다.
역시 제일 간단한 자료흐름 모델링은 복잡한 구성으로 갈수록 효용도가
떨어지는듯 하다. 조합논리회로에는 기본적인 논리회로로 구성된 것
|
- 페이지 8페이지
- 가격 1,300원
- 등록일 2010.03.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|