• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 60건

 실험11 가산기와 크기 비교기 실험 목표 사용 부품 이론 요약 예제: 4비트 2진/BCD 코드 변환기 실험순서 실험 11보고서 실험 목표: 데이터 및 관찰 내용: 실험1. 4비트 2진/BCD코드 변환기 실험: 실험2. 4비트 2진/Excess-3 코드 변
  • 페이지 17페이지
  • 가격 1,500원
  • 등록일 2015.04.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
std_logic ); end component; begin key : comp_4bit port map(input_a,input_b,output_eq,output_agb,output_alb); input_a <= "0000", "1000" after 100 ns; -- 입력 시그널을 4비트로 생성, “”사용 input_b <= "0000", "1111" after 200 ns; -- 모든 경우에 대한 입력을 생성하기 어려우므로 샘
  • 페이지 5페이지
  • 가격 1,000원
  • 등록일 2017.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
<= '1'; ALB <= '0'; else EQ <= '0'; AGB<= '0'; ALB <= '1'; end if; end process; end behave_bit_4; 4Bit Test Bench Library IEEE; use IEEE.std_logic_1164.all; entity tb_bit_4 is end tb_bit_4; architecture tb_behave of tb_bit_4 is signal A, B : std_logic_vector(3 downto 0); signal EQ
  • 페이지 4페이지
  • 가격 2,300원
  • 등록일 2012.11.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
비교기를 이용하여 회로를 설계하고 작동을 확인해보겠다. 비교기 회로 구성은 무게가 증가함에 따라 저항이 감소하는 원리를 이용해 부하 저항 RM을 직렬로 연결시켜 주고 RM에 걸리는 전압을 이용하여 비교기 회로에 Vref를 이용하여 출력신
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.12.16
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
비교기를 사용 하여서 4비트 2진/Excess-3 코드 변환기를 설계하고 구현 및 테스트를 하며, 오버플로우 검출이 가능한 부호 있는 가산기를 설계하는 것이 주된 실험 목표였다. 처음에 2진/Excess-3 변환기의 회로도를 봤을 때 무엇인가 왠지 복잡 할
  • 페이지 7페이지
  • 가격 1,300원
  • 등록일 2012.05.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

취업자료 7건

설계된 회로를 보다 빠르고 정확한 검증은 일각을 다투는 4차 산업의 시장에서 상대적으로 신속하게 제품을 생산할 수 있고, 제품생산까지의 시행착오를 줄여줄 수 있으므로 상당히 중요한 의미를 지닙니다. 이러한 점에서 넓은 시야와 끊임
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계된 회로를 보다 빠르고 정확한 검증은 일각을 다투는 4차 산업의 시장에서 상대적으로 신속하게 제품을 생산할 수 있고, 제품생산까지의 시행착오를 줄여줄 수 있으므로 상당히 중요한 의미를 지닙니다. 이러한 점에서 넓은 시야와 끊임
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계하여 입력전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를 해석하는 과정이 흥미로
  • 가격 5,000원
  • 등록일 2024.05.26
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계하여 입력전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를 해석하는 과정이 흥미로
  • 가격 4,500원
  • 등록일 2023.07.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계하여 입력전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를 해석하는 과정이 흥미로
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top