• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,339건

자판기 사전적 의미.............................................3 1.2 자판기 종류별 구조적 설명.......................................4 2 설계목표 2.1 자판기 설계 목표..............................................4 2.2 자판기 설계 조건.........................................
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용해서 나만의 기능인 공학계산기 같은 기능을 구현하고 싶다는 생각이 들었다. 그러기 위해서는 앞으로도 꾸준하게 공부를 해야겠다는 생각이 들었다. 이제 실습도 종반부를 향해서 달려가고 있는데, 기말 텀 프로젝트 준비도 꾸준히 하
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 설계 : (1) 입출력은 모두 1bit. (2) 모든 node의 입출력 파형을 설정,표시. (4) 주요 변수의 역할 표시. (5) 주요 공학이론을 도출하고 이를 이용한 계산과정을 포함. (5) (1)~(4)를 바탕으로 VHDL을 이용하여 위 기능을 구현할 프로그램 작성. 3.
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2011.06.10
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1. 제목: 고속 동작 곱셈기 설계 2. 목적 고속 동작 곱셈기의 설계를 통해 곱셈 과정에 있어서 shift and add를 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 sequential circuit의 설계 흐름을 숙지한다. 또한 VHDL을 이용한 곱셈기 설계를
  • 페이지 8페이지
  • 가격 13,860원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL 코드를 살펴보면 전체적으로 3개의 파일로 이루어져 있다. 1 bit full adder, adder_package, 4 bit full adder 이다. 1 bit full adder는 주어진 truth table에 따라 계산하여 1차 과제 때처럼 설계하면 되었다. 이번 과제에서는 package를 사용하였는데 이 것은 c
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Ⅱ. 관련 연구 Ⅲ. CBR HDTV TS 패킷 전송을 위한 새로운 메카니즘의 제안 Ⅳ. 전송단 및 수신단의 하드웨어 구현 Ⅴ. VHDL을 이용한 회로 설계 및 검증 Ⅵ. FPGA를 통한 기능 검증 Ⅶ. 결론
  • 페이지 12페이지
  • 가격 2,300원
  • 등록일 2002.11.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 황선영 교수님 강의 자료 McGraw-Hill, Fundamentals of Digital logice with VHDL design, Brown&Vranesic, 2/e 아진, 실습으로 배우는 VHDL, 이강/장경선, 증보판 http://asicfpga.com/site_upgrade/asicfpga/pds/dsp_pds_files/mul.ppt 1. 제목 : 고속 동작 곱셈기 설계 2. 목적
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용가능한 메뉴 및 버튼을 확인할 수 있다. 버튼으로 메뉴를 확인할 수 있다. 5. 제품구입 입력된 금액으로 버튼 혹은 메뉴로 제품을 구입할 수 있다. 거스름돈을 확인하고 돌려받을 수 있다. 6. 자판기 사용 실적 구입, 코인
  • 페이지 2페이지
  • 가격 1,000원
  • 등록일 2005.05.23
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
주 진행될수록 VHDL이라는 언어에 점점 더 다가가는 것 같아서 마음이 뿌듯했고, 다음 실험도 수업시간에 집중하고, 집에서 미리미리 예습하여 정확하고 성공적인 실험을 이끌어 나가야겠다. 1. Purpose 2. Problem Statement 3. Sources & Results
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
f door_lock_mod_tb is component door_lock port ( clk : in std_logic; rst : in std_logic; ps_start : in std_logic; ps_end : in std_logic; ps_mod : in std_logic; ps_num : in std_logic_vector (3 downto 0); door_open : out std_logic; alarm : out std_logic ); end component; signal clk : std_logic; signa
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2014.03.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top