• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 283건

simulation 프로그램의 활용은 필수적이다. 7.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 (2) http://210.99.156.1/home/shkim/chart1-1-2a.htm 1. 제목 2. 개요 3. 이론 4. VHDL Code 5. 결과 및 분석 6. 토의사항 7. 참고문헌
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
B1, B2, B3 3개의 Binary code input을 G1, G2, G3 3개의 Output이 나오도록 설계한다. 이때 3개의 값은 Gray code Output이다. POS, SOP를 이용하여 설계하여보고, Karnough map을 이용하여 최적의 Logic Network를 구현한다. 그리고 이 설계를 이용하여 VHDL로 Coding하고 결
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
adder; ARCHITECTURE Behave OF adder IS BEGIN s <= (NOT a AND((NOT b AND c)OR(b AND NOT c)))OR(a AND NOT(((NOT b AND c)OR(b AND NOT c)))); cout <= (a AND b)OR(b AND c)OR(a AND c); END Behave; Project #1 Gray Code Converter 1. 개요 2. 이론 3. 설계 4. 결과분석 5.
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL로 구성하여 출력하시오. 클록의 주기는 40ns로 하시오. 각 출력을 부울대수로 나타내면 다음과 같다. 아래와 같이 VHDL과 Simulation을 실행하였다. 5. 고찰 부울대수를 간략화하는데 진리표를 작성하여 minterm 또는 maxterm 이 두가지 방법을 이용
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2011.12.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
통해 보다 쉽게 이해하고 배울 수 있는 좋은 기회가 된 것 같다. 3.2 참고자료 ▶ DigitalDesign, J.F.Wakerly, PrenticeHall, 2006. ▶ DigitalDesign PRINCIPLS&PRACTICES, J.F.Wakerly, PrenticeHall, 2002. 1. 프로젝트 개요 2. Source Code 분석 및 설계 3. 프로젝트 고찰
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL code로 설계하니 매번 FA가 필요할 때마다 그 내용을 쓰지 않아 편리하였다. 이번 과제에서 개인적으로 가장 어려웠던 부분은 project의 이름과 main file의 이름을 match시키는 것이었다. 처음에 설계를 했을 때 main project의 이름을 1 bit full adde 파
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계도 여러 가지에 다 적용하는 것이 아니라 단순한 하드웨어 설계는 기존의 것으로 설계를 하고 복잡한 레벨일 경우에만 사용하자는 것이다. 조그마한 플립플럽을 만들때 이것을 사용한다면 아마 웃을 것이다. 참 고 문 헌 [1] VHDL 기초와
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
gray coding을 통한 판단 if (r_c(j)>=0) & (r_s(j)>=0) %수신신호가 1사분면이면 output1(j,:)=[1,1]; %11로 판단하고 elseif (r_c(j) >=0) & (r_s(j)<0) %수신신호가 4사분면이면 output1(j,:)=[1,0]; %10으로 판단 elseif (r_c(j)<0) & (r_s(j)>=0) %수신신호가 2사분면에있
  • 페이지 14페이지
  • 가격 3,000원
  • 등록일 2009.06.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
의해 구현가능한 점, 쉽게 수정 가능한 점을 이번 Term Project를 통해 느끼게 되었습니다. 1.개요 -VHDL언어란 2. Term Project주제 -구현방법 -구현내용 3.구현과정 -동작 알고리즘 -기본 동작 -VHDL 코드 4.시나리오 5.검토 및 고찰
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
통한 라인트레이서의 시뮬레이션 결과 라인트레이서는 직진, 정지 신호, 좌회전, 우회전과 오른쪽 장애물에 대한 작동과 왼쪽 장애물에 대한 작동 과정이 모두 정상 작동 하고 있다는 사실을 알 수 있다. 프로젝트 설계과정에서 VHDL에 대한 이
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top