• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,491건

break; } } bool existZero(int aBoard[rowMax][colMax]) { for (int i = 0; i < row; i++) for (int j = 0; j < col; j++) if (aBoard[i][j] == 0) return true; return false; } void printResult() { cout << "\n각 타일에 이동한 횟수 : \n\n"; for (int i = 0; i < row; i++) { for (i
  • 페이지 3페이지
  • 가격 1,500원
  • 등록일 2007.11.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
구현 2. 시스템 획득 및 개발기간 단축 3. 정보화 경영혁신 및 비용 절감 4. 종합적 품질향상 Ⅴ. CALS(칼스, 광속상거래)의 구현 Ⅵ. CALS(칼스, 광속상거래)의 추진 현황 1. 보급 2. 추진 현황 Ⅶ. CALS(칼스, 광속상거래)의 추진상 문제점
  • 페이지 10페이지
  • 가격 5,000원
  • 등록일 2009.07.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
우수사례 첨부 1. 삼성테스코 재무성과 자료 1. 지속가능경영의 정의 2. 지속가능경영의 필요성 3. 지속가능경영의 효과 4. 국내기업 사례분석 (1) 금호타이어(주) (2) GS칼텍스정유(주) (3) 삼성테스코(주) a. 사회공헌 b. 정도경영 c.
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2008.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
평가와 전달 기법 3) 매개변수 전달 기법 4) 프로그래밍 언어에서 유해한 특징들 제 5 장 운영체제 1. 시스템 프로그램의 이해 1) 컴퓨터의 구성 2) 시스템 소프트웨어의 종류 3) 운영체제 (OS : Operating System) 2. 운영체제의 실제 1) DOS
  • 페이지 12페이지
  • 가격 1,500원
  • 등록일 2006.04.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
C언어로 구현한 알고리즘 교재 4단계 실전문제 해결하기 6개월 다양한 문제를 풀어봄으로써 실전감각과 응용력을 키움 -기출문제와 함께, 국제정보올림피아드(IOI) 문제도 제시 -ACM 온라인 평가 사이트를 통해 실전감각 및 응용력을 키울 수 있
  • 페이지 9페이지
  • 가격 6,500원
  • 등록일 2013.07.18
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 18건

C. J. (1996). 교육과정 이해를 이한 주요 개념. (박현주 역). 서울 : 교육과학사. (원저 1992 출판). Mussen, P. H., Conger, J. J., Kagan, J., & Huston, A. E. (1984). Child development and personality. NY: Happer & Row. Seefeldt, C. & Galper, A. (1997). Questioning national standards in the field
  • 페이지 23페이지
  • 가격 3,300원
  • 발행일 2014.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
자료와 서적을 더 손쉽게 구할 수 있을 것이다. 마이크로프로세서를 이용한 시스템은 이제 유용한 제품을 만드는 것과 더불어 취미생활로서 로봇 개발과 모형 항공기나 모형 RC 자동차의 개발로도 많은 발전을 하고 있다. 본 논문에서는 마이
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
자료실 설계 및 구현 4.2.1 시스템 구현 환경 4.2.2 시스템 구성 및 설계 4.2.3 YT-스쿨 자료실 구현 5. 성능평가 5.1 실험환경 5.2 실험결과 5.2.1 평균 응답시간 실험결과 5.2.2 평균 대역폭 실험결과 6. 결론 및 향후 발전 방향
  • 페이지 62페이지
  • 가격 5,000원
  • 발행일 2010.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Develoment, New York : Wiley. Williams, L. R.(1987). Determining the curriculum, In C. Seefeldt(Ed.), the early childhood curriculum : A review of current research, New York : Teachers College Press. Wilson, L. C.(1986). Infants and Toddlers : Curriculum and Teaching Albanny, N. Y. : Delmar Publishe
  • 페이지 37페이지
  • 가격 3,000원
  • 발행일 2008.10.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
자료집 송승훈, 2009, “노인장기요양보험제도에서의 요양보호사 전문성 확보방안 연구”동국대학교 행정대학원 석사학위논문 엄기욱, 2008, 노인장기요양보험 인력 양성방안”, 2008년 국회의원 정책자료집“ 오세영. 2005.“일본의 노인 의료
  • 페이지 24페이지
  • 가격 3,400원
  • 발행일 2009.08.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 32건

우수 학생상 (88) (Education) • 기계시스템디자인공학 • IT정책산업 • e-Business • 마케팅 • 행정고시 • C언어/ JAVA 정보화 교육수료(중앙정보처리학원) • IPTV Revolution 전략 교육 이수 (Self Improvement)
  • 가격 14,000원
  • 등록일 2011.10.30
  • 파일종류 피피티(ppt)
  • 직종구분 기타
항상 팀장을 맡았습니다. 저는 팀장으로써 여러가지 프로젝트를 하면서 팀원들의 의견을 수렴하고 포용하는 점에서의 미숙, 업무분담과 조원 평가의 어려움 등 리더로써의 부족한 점을 알게 되는 좋은 기회였던 것 같습니다. 
  • 가격 600원
  • 등록일 2010.02.12
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
평가자가 지웑자의 성격(인성)을 파악하기는 서류상으로 어렵습니다. 하지만 이렇게 Chart를 활용해서 자신을 표현하면 평가자가 ‘참신하다’라는 인상을 갖게 되고, 결국 가산요인으로 작용할 수 있습니다.   → 개인의 인성을 차트
  • 가격 12,000원
  • 등록일 2013.03.29
  • 파일종류 피피티(ppt)
  • 직종구분 기타
자료구조/알고리즘/STL과 같은 과목도 같이 요구 하고 있기에, 이 부분이 기본이고 필요하다 생각을 하여 혼자서 자습을 진행하였고, 시간투자를 더 많이 하였습니다. 후에 제가 입사를 하더라도 기초적인 C나 C++문법, 루프 구현 때문에 시간,
  • 가격 2,000원
  • 등록일 2015.01.14
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
구현하는 데에 어려움에 부딪혔고, 프로젝트 진행이 더뎌졌습니다. 저는 관련 서적과 자료를 참고하여 수십 번의 수행착오를 겪었고, 며칠 동안 밤늦게까지 팀원들과 함께 작업한 결과 좋은 결과물을 만들어 공모전에 출전하였습니다. 만약
  • 가격 3,000원
  • 등록일 2023.02.09
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top