• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,499건

방법론 Ⅳ. 객체지향시스템설계와 데이터베이스 Ⅴ. 객체지향시스템설계와 소프트웨어 1. 소프트웨어의 특성 1) 소프트웨어는 제조되는 것이 아니라 개발되거나 공학화하는 것이다 2) 소프트웨어는 닳아 없어지는 것이 아니다 3) 대부
  • 페이지 16페이지
  • 가격 7,500원
  • 등록일 2013.07.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Modularity 나. Subordinate 다. Fan-in 라. Super-Ordinate 136. 1 137. 4 138. 3 139. 3 140. 3 제 1 장 S/W 공학의 개념 제 2 장 S/W 프로젝트 관리 제 3 장 전통적 S/W 개발 방법론 제 4 장 객체 지향 S/W 공학 제 5 장 S/W 공학의 발전적 주제 제 6 장 기타
  • 페이지 17페이지
  • 가격 1,500원
  • 등록일 2003.10.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
개발 (5) 오픈 시스템 (6) 글로벌 대응 (7) EIS 기능 (8) EDI 연계 2) 기술적 특성 (1)클라이언트 서버 시스템 (2) 4세대 언어 (3) 관계형 데이터 베이스 (4) 객체지향기술 3. ERP의 구조 1) RDBMS 2) 커넬 (Kernel) 3) 데이터사전 4) 개발환경 5) 내
  • 페이지 15페이지
  • 가격 4,500원
  • 등록일 2010.07.18
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
소프트웨어의 개발모형 1. 폭포수 모델(waterfall model) 2. 프로토타이핑 모델(Prototyping Model) 1) 정의 2) 필요성 3. 진화적 프로토타이핑 모델(evolutionary prototyping model) Ⅵ. 소프트웨어의 개발방법론 1. 구조적 개발방법론 1) 기본 원칙 2) 구조
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2009.04.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
19 3416 3 5574 20 2968 4 2214 21 2162 5 2827 22 3023 6 3043 23 5342 7 2645 24 3227 8 2450 25 1688 9 3272 26 2833 10 4055 27 2538 11 4077 28 3046 12 2311 29 4000 13 3060 30 3067 14 3025 31 3444 15 2520 32 2096 16 3665 33 3868 17 2562 34 3219 단위: (만원) -자료출처- 한국고용정보원&nbs
  • 페이지 12페이지
  • 가격 2,000원
  • 등록일 2006.10.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 28건

5 (1) 인터넷 대란 이후 5 (2) 현재 6 3. 사이버 범죄의 종류 8 (1) 해킹 8 (2) 악성코드 8 (3) 사회공학적 기법 9 III. 사이버 보안위협에 대한 대처 10 1. 제도적⦁법률적 대처 10 2. 기술적 대처 11 3. 인식변화의 필요성 11 IV. 결 론 13
  • 페이지 15페이지
  • 가격 3,000원
  • 발행일 2010.01.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
방법론과 솔루션을 활용을 적극 검토하되 자체 개발 비용과의 비교를 통해 자신의 조직에 적합한 품질 관리 방법을 적용한다. [6] 데이터 품질 관리를 위한 공식적인 조직과 절차를 구현하고 지속적으로 품질 관리 활동을 수행한다. [7] 데이
  • 페이지 14페이지
  • 가격 2,400원
  • 발행일 2008.11.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
소프트웨어산업협회 데이터베이스) www.kisd..re.kr (정보통신정책연구원) www.mic.go.kr (정보통신부) www.spc.or.kr (한국소프트웨어저작권협회) www.sw.or.kr (한국소프트웨어산업협회) 제1장 서론 제1절 연구의 배경 및 목적 제2절 연구의 방법 및
  • 페이지 19페이지
  • 가격 4,000원
  • 발행일 2005.03.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
프로그램 개인정보 화면 23 2. 전자수첩 프로그램 스케줄 관리 화면 25 3. 전자수첩 프로그램 일기장 화면 26 4. 전자수첩 프로그램 메모장 화면 26 5. 전자수첩 프로그램 계산기, 게임 화면 27 제 6 장 결론 및 향후 연구과제 28 참고문헌 29
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2008.10.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
절차 ............................. 8 제 3장 ERP 도입에 따른 문제점 .......................... 10 제 1절 ERP에 대한 치밀한 사전준비 선행미비 ................. 10 1. 경영진의 강력한 의지와 이해부족 ..................11 2. 경영전략의 불명확 .......
  • 페이지 20페이지
  • 가격 3,000원
  • 발행일 2008.11.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 55건

데이터베이스, 전자계산기 구조, 운영체제, 소프트웨어 공학, 데이터 통신)와 실기(업무 프로세스, 데이터베이스, 알고리즘, 신기술 동향, 전산영어 등 정보처리 실무)로 나누어 치릅니다. 정보처리기사는 컴퓨터 시스템을 통한 프로그램 개발
  • 가격 3,000원
  • 등록일 2012.04.13
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
공학 분야에서 프로젝트의 더 작은 요소로 분해시킨 분업 구조이다. 업무 분업 구조는 팀의 작업을 관리 가능한 부분들로 조직화해놓은 것이다. - WEBTOB - 국내 소프트웨어 업체인 티맥스소프트의 웹투비는 제우스(JEUS)와 함께 티맥스소프트의
  • 가격 3,500원
  • 등록일 2020.03.02
  • 파일종류 한글(hwp)
  • 직종구분 기타
공학과 과학의 차이는 무엇입니까? 18. 객체지향 모델의 종류와 내용에 대해 말해보시오. 19. 객체지향 언어의 특징에 대해 답변해 보세요. 20. OOP란? 21. OOP의 장점 22. 자바의 특징은? 23 .UML 이란? 24. 스레드(Thread) 정의 25. 스레드(Thread) 특
  • 가격 2,000원
  • 등록일 2019.11.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
데이터베이스(MySQL, MS-SQL) 구축/관리를 교내 소규모 프로젝트로 수행했습니다. 뿐만 아니라 백골부대 통신소대장으로 근무하면서 사단 정보통신체계를 관리하고, 일과 시간 이후에는 안드로이드 플랫폼 애플리케이션을 개발하였습니다. 
  • 가격 900원
  • 등록일 2014.07.09
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
정보통신업/통신회사/신입) 45. 자기소개서(환경공학/기술/신입) 46. 자기소개서(환경과학/수질환경기사/경력) 47. 자기소개서(IT/게임회사/시스템/경력) 48. 자기소개서(IT/데이터베이스/신입) 49. 자기소개서(IT/소프트웨어개발/프로그래머) 50
  • 가격 3,000원
  • 등록일 2010.07.16
  • 파일종류 워드(doc)
  • 직종구분 전문사무직
top