• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 5,038건

논리회로(Logic Circuit)논리소자를 사용해서 구성한 회로디지털회로와 같은 의미논리회로의 종류조합논리회로(combinational logic circuit) 회로의 출력이 현재의 입력값에만 관계되는 회로예 : TV 리모콘의 채널번호순서논리회로(sequential logic circuit)
  • 페이지 15페이지
  • 가격 700원
  • 등록일 2005.09.26
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 * 검토 및 토의 사항
  • 페이지 2페이지
  • 가격 1,500원
  • 등록일 2016.12.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 오실로스코프의 작동원리  2) 각각의 저항에서 부분전압 계산법  3) RC 회로  4) CR 회로 3 오실로스코프 단자 및 스위치 기능 4 저항계산  1) 전압측정 및 분석  2) 오차해석 5 RC 및 CR 그래프 및 분석  1) RC 그래프 및 분
  • 페이지 10페이지
  • 가격 1,300원
  • 등록일 2013.01.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 시스템의 전형적인 클럭 파형으로 반드시 대칭이 아니라도 된다. 클럭 파형의 한 주기를 클럭 사이클 시간이라고 하며, 모든 논리소자들은 한 클럭 사이클보다 적은 시간내에 상태전이를 마쳐야 한다. 대부분의 디지털 회로들은 시스
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2010.04.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1 0100 0 0101 0 0110 1 0111 0 1000 0 1001 1 1010 X 1011 X 1100 X 1101 X 1110 X 1111 X BA DC 00 01 11 10 00 0 0 1 0 01 0 0 0 1 11 X X X X 10 0 1 X X 그림 8-6 3으로 나누어 떨어지는 BCD수에 대한 Karnaugh맵 맵으로부터 읽은 최소 SOP : X=AD+ABC+ABC AD ABC ABC 회로도 표 8-5의 실험결과 3 = 00
  • 페이지 7페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 27건

기초자료 1. 부품별, 기능별 기초자료-------------------- 1) 부품별 기초 자료 2) 기능별 기초 자료 Ⅲ. 작품기능 시스템 구성 및 내역-------------------------- 1) 흐름도 2) 각 기능별 회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Progr
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 합성기를 이용한 PLL 모듈 설계 및 제작, 충남대학교 석사학위논문, 2003 [5] 하경수, DDS/PLL Hybrid 주파수 합성기 설계 및 제작, 충남대학교 석사학위논문, 2004 [6] 김용, C-Band용 VCO의 설계 및 제작, 충남대학교 석사학위논문, 1999 [7] 황수설, S
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
디지털 액자 제작을 위한 하드웨어 구현과 소프트웨어를 설계하였다. 디지털액자를 설계하기까지 많은 시행착오가 있었다. MCU 회로 설계 과정, RS-232 통신 문제 등 이런 문제들을 해결하기 위해 인터넷에서 조사한 회로를 보고 우선 회로에 대
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기초와 활용 - 북두 출판사 2000 p.477 ~ p.479 VCO . <7> 윤 정 배, “두 개의 DLL을 이용한 pulse shrinking delay line 제어회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서관 DLL 석사논문 자료실. <8> 류 영 수, 락킹 상태 표시기를 이용한 지연 고정
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 101건

디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더욱 발전시켜나간다면 LG전자에
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
디지털회로설계의 기초를 바탕으로 창의적인 설계와 효율적인 제품을 만들기 위해 노력하겠습니다. 한국타이어에서 엔지니어로 시작하여, 항상 노력하고 최고를 향한 초심의 마음으로 성장해 나갈 것입니다. 그리고 회사의 전반적인 부분을
  • 가격 800원
  • 등록일 2011.03.22
  • 파일종류 한글(hwp)
  • 직종구분 전문사무직
회로실험과 디지털회로설계의 기초를 바탕으로 창의적인 설계와 효율적인 제품을 만들기 위해 노력하겠습니다. SK E&S에서 엔지니어로 시작하여, 항상 노력하고 최고를 향한 초심의 마음으로 성장해 나갈 것입니다. 그리고 회사의 전반적인
  • 가격 1,000원
  • 등록일 2011.04.11
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
기초가 된다고 생각한 전자회로 설계분야를 공부하기 시작했습니다. 그리고 이것을 위해 과의 학술 동아리중 하나인 IDEC 워킹그룹인 ‘ASIC’이라는 이름의 연구실에 가입하여 활동하였습니다. 디지털회로에 관한 세미나를 하면서 순차회로
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)/A+] 회로를 이해하고 분석할 수 있는 능력을 학습. [선형시스템/A+] 현대제어이론의 기초가 되는 과정을 학습. [전기전자실험/A+] 회로에 대한 실험을 통
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top