• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 2,503건

rding Length : 250K Trigger Position : 40 Cycles : 5 10 5 25 5 2.3.2 실험 방법 [그림 1-3] 3차권선 실험 계통도 등가회로 [그림 2]는 사고전류제한기의 실험 계통도를 나타내었으며 [그림 3]는 이 계통을 등가회로로 나타내었다. 사고전류제한기의 특성을 알아
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
3) 노선가의 설정 기준 1) 가로조건 인접도로와의 폭ㆍ구조와 같은 가로의 상황에 따라 평가를 달리한다. 2) 접근조건 상가ㆍ역ㆍ공공시설 등에 대한 접근성의 난이도에 따라 평가를 달리한다. 3) 획지조건 지반, 급배수, 주위환경 등 택지 자체
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2010.07.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Perovskite 구조의 BaTiO3-SrTiO3 고용체 고유전율, 저유전손실 강유전체 Sr 역할 : BaTiO3의 TC (~130℃) 감소 (Ba1-xSrxTiO3 : x<0.75) 
  • 페이지 11페이지
  • 가격 4,000원
  • 발행일 2011.01.13
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
③ 프 리 지 아 위 스 취 ①가로 1 시클라멘 ②가로 2 메디닐라 ③가로 3 프리지아 ④가로 4 개살구 ⑤가로 5 디펜바키아 세로 1 클레오메 spider flower 세로 2 디기탈리스 세로 3 개일라르디아 세로 4 물구나무 세로 5 바위취 세로 6 시네라리아 
  • 페이지 3페이지
  • 가격 1,000원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;     led1,led2,led3,led4,ledok : out std_logic;    
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
 Ⅰ. 서 론 1) 개 요 2) 기 후 3) 주요내용 4) 문화유산 5) 호이안의 현재모습 Ⅱ. 본 론 1) 호이안의 주요 특징 2) 호이안의 역사(베트남의 고대왕국 참파) 2-1)참족의 역사 3) 호이안의 주요 건물들 3-1) 호이안의 역사적인 건물들
  • 페이지 25페이지
  • 가격 3,000원
  • 발행일 2011.05.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
18:18의 약속, “모든 이방인들이 너로 인하여 복을 받게 될 것이다”가 그리스도 예수 안에서 성취되는 것임을 강조하기 위해서였다. 그래서 이 두 단어는 구분을 위한 것이 아니라 강조를 위한 것으로 보아야 할 것이다. 3. 나가는 말 앞에서
  • 페이지 8페이지
  • 가격 2,000원
  • 발행일 2009.04.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 목 차 제 1장 서론....................................................................3 1.1 연구 배경.................................................................3 1.2 연구 목표 및 내용.........................................................3 제2장 이론적 배경 및 문헌
  • 페이지 23페이지
  • 가격 5,000원
  • 발행일 2009.04.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 Ⅰ 서론 1. 연구의 목적 및 필요성 2. 연구의 방법 3. 연구문제 Ⅱ 다산 정약용의 정치사상 및 지적풍모 1. 정치사상 1.1 위기의식과 진단 1.2 질서와 상상: 개혁의 방법과 논리 1.3 처방: 국가개혁 구상의 실제 2. 지적
  • 페이지 86페이지
  • 가격 9,900원
  • 발행일 2008.10.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 Ⅰ 서론 1. 연구의 목적 및 필요성 2. 연구의 방법 3. 연구문제 Ⅱ 유비쿼터스 기술의 이론적 배경 1. 유비쿼터스의 개요 2. 미래형 선진산업 분야 2.1 전력산업 2.2 위치기반서비스(LBS) 2.3 U 헬스케어 2.4 스마트 타
  • 페이지 60페이지
  • 가격 8,800원
  • 발행일 2008.10.27
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top