• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 117건

Binary Counter'와 'Gray Counter'를 구분하고 설계하며 모드변경을 통해 2가지 Counter를 한꺼번에 구동되도록 설계할 수 있다. 모드 변경에는 'case'를 사용한다. Asynchronous reset은 clock과 상관없이 동작된다. 분주회로를 통해 clock을 느리게 하여 사용할
  • 페이지 13페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
if Enable = \'1\' then if Q = \"0011\" then Q <= \"1000\"; else Q <= Q-1; end if; end if; end if; end process; S5 <= \'1\' when Q <= \"0101\" else \'0\'; end counter; endmodule 1. Up counter 2. Down counter 3. Up-Down counter 4. Moore FSM "1011" sequence detector 5. Falling Edge
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2023.03.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
gray counter의 동작을 수행하게 된다. < 실험에 대한 고찰 > 이번 실험에서는 binary/gray counter를 설계하였다. 처음에는 어려울 것 같았으나 교수님께서 앞부분의 3bit up/down counter의 소스코드를 잘 이용하라고 힌트를 주셨고, 실험 수업 이후 다
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
2개 이상의 입력단자와 1개의 출력단자를 갖고, 입력단자 모두에 “1”의 신호를 가할 때에만 출력단자에 “1”의 신호가 나타나는 회로 수의 체계 부호의 코드화 기본 논리 회로 부울식의 간략화 조합 논리회로 순차 논리회로 설계
  • 페이지 73페이지
  • 가격 3,000원
  • 등록일 2011.09.05
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디코더(Decoder) 인코더(Encoder) 순서 논리회로 입력값과 회로의 현재 상태에 따라 출력값 결정 기억능력 갖고 있음 플립플롭(flip-flop) RS 플립플롭, D 플립플롭, JK 플립플롭, T 플립플롭 순서 논리회로 레지스터(register) 카운터(counter) 
  • 페이지 18페이지
  • 가격 0원
  • 등록일 2010.04.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로가 있는데, 회로도는 다음과 같다. [그림 7] 쌍안정 멀티 바이브레이터 3. 참고 자료 디지털 논리와 설계, 유황빈 (정익사) 319-361page 최신 전자 공학, 이수원 외 공저 (학문당) 401-403page 2진 카운터와 2진수 1. 목적 1) 2진 계수기 (Binary Counter)의
  • 페이지 10페이지
  • 가격 1,500원
  • 등록일 2004.09.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
카운터로 취급한다. 이에 대한 대표적인 예로 링 카운터(ring counter)와 존슨 카운터(Johnson counter) 등이 있다. 그림 8. 3비트 이진 카운터 상태천이도 이제 카운터 회로를 직접 설계해보자. 예를 들어 클럭펄스가 인가될 때마다 0부터 5까지 차례로
  • 페이지 12페이지
  • 가격 2,000원
  • 등록일 2009.06.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계하였고 IBUF의 필요성을 이해하고 코드 내에서 사용할 수 있었다. Evaluation 유한 문자열 인식기를 설계하는 실험이었다. 언뜻 보면 어렵고 복잡하다 느낄 수 있지만 상태도를 정확히 그려낼 수 있다면 지난번 실험과 크게 다를 바 없이 상태
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
카운터(1) 59 실험 10. 카운터(2) 59 1. 실험 목적 59 2. 기초 이론 59 3. 예비 보고서 62 4. 실험 기자재 및 부품 64 5. 실험 방법 및 순서 64 6. 실험 결과 65 실험 11. 쉬프트 레지스터(1) 68 실험 12. 쉬프트 레지스터(2) 68 1. 실험 목적 68
  • 페이지 78페이지
  • 가격 12,600원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
카운터(1) …………………………… 59 실험 10. 카운터(2) …………………………… 59  1. 실험 목적 …………………………… 59  2. 기초 이론 …………………………… 59  3. 예비 보고서 …………………………… 62  4. 실험 기자재 및
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top