• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 237건

것을 알 수 있다. Process문에 의한 동작적 표현방법은 signal a와 b의 vector 크기와 무관하게 if a=b then과 같이 간결한 표현이 가능하도록 자료흐름적 표현 방법과 달리 매우 편리한 기술 표현이다. 1. Entity 2. Architecture 3. VHDL 4. Process문
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2009.05.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
흐름도) Entity-Relationship Diagram(실체 관련도) Hierarchy Diagram(계층도) bubble chart Entity-Process Matrix(개체/프로세스 매트릭스) Data Dictionary Mini-Spec(소단위 명세서) Structure chart(시스템 구조도) State-Transition Diagram(상태전이도)
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2009.07.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
entity of parent and subsidiary corpora- tions,\" California Law Review 14. Berle. 1947. \"The theory of enterprise entity,\" Columbia Law Review 47. Business Credit Management U.K. Disqualification of Company directors. http://www.creditman.co.kr/insolven/dirdisq.htm CMi&s U.K. Directors Disqual
  • 페이지 12페이지
  • 가격 2,700원
  • 등록일 2007.01.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
것이 공기업의 실체를 파악하는 데 도움이 될 것이다. 따라서 공기업의 주인- 대리인에 관련된 핵심 3자(정부, 공기업 경영진, 공기업 노조 및 직원)의 견제와 균형이 바로 ‘공기업의 실체(entity of public enterprise)’라고 할 수 있다. 
  • 페이지 2페이지
  • 가격 1,000원
  • 등록일 2008.05.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ry ieee; use ieee.std_logic_1164.all; entity keyinh is port( data, noclk : in std_logic; y_out : buffer std_logic ); end keyinh; architecture sample of keyinh is signal data1 : std_logic; --signal noclk : std_logic; begin process(noclk) begin if (noclk\'event and noclk = \'1\') then data1 <= dat
  • 페이지 18페이지
  • 가격 2,000원
  • 등록일 2010.11.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 2건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
entity)로 보고, 가족내적 요인들은 상호간에 밀접한 관련성을 지니고 있다고 본다. 그러므로 가족문제는 어떤 특정한 원인에 의해 발생하기보다는 여러 요인들간의 상호작용 과정에서 생성되는 것으로 보고 있다. 즉 장애아 가족에게 있어 자
  • 페이지 66페이지
  • 가격 3,000원
  • 발행일 2012.02.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top