• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,899건

; ALARM_HUR : OUT INTEGER RANGE 23 DOWNTO 0; ALARM_MIN : OUT INTEGER RANGE 59 DOWNTO 0; SET_MODE : OUT STD_LOGIC_VECTOR (1 DOWNTO 0); BEEP : OUT STD_LOGIC ); END COMPONENT; TYPE WATCH_MD IS (M_TIME, M_ST_WATCH, M_ALARM, M_TIME_S); SIGNAL WATCH_MODE : WATCH_MD; SIGNAL MODE : STD_LOGIC_VECTOR (2 DOWNT
  • 페이지 20페이지
  • 가격 1,000원
  • 등록일 2005.12.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
프로그램 메모리 위에서 언급한 1에서부터 10까지 더하기 프로그램을 작성하여 프로그램 메모리 영역에 아래와 같이 VHDL로 작성한다. <그림 2-39> 프로그램 메모리 VHDL 코드 2) 마이크로프로세서의 타이밍 설계한 마이크로프로세서의 모든
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
소스 두 가지로 실험을 해보았다. 수업시간에 반가산기를 이미 설 계해 보아서 많이 전가산기를 설계하는 것이 많이 어렵지는 않았다. 다만 1학년때 Schematic프로그램을 사용할 때는 회로도 그리는 것이 쉬웠는데 VHDL프로그램을 이용해서 회로
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2011.06.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
사용하다 보니 익숙하지 않아 많은 시행 차고를 겪었고 앞으로 언어 공부를 함에 있어서 많은 도움이 된 것 같다. 1.설계 사양 및 기능 2.입출력 포트 3.전체 블럭도 및 구조 4.소스코드 설명 5.시나리오 6.시뮬레이션 7.결론 및 고찰
  • 페이지 29페이지
  • 가격 1,000원
  • 등록일 2014.12.23
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
옆에서 틀린 부분도 지적해 주시고 모르는 부분은 알려주신 덕분에 성공적으로 실험을 끝마칠 수 있었다. 다음 한 주 동안 열심히 공부해서 다음번 실험 또한 잘 해낼 수 있도록 노력해야겠다. 1. Purpose 2. Problem Statement 3. Sources & Results
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 11건

울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
프로그램의 가치는 텍스트(text)에 있는 것이 아니라 그 작동(behavior)에 있다. 둘째, 텍스트를 보지 않고도 기능상 동일한 프로그램을 다른 프로그래머가 작성할 수 있다는 점에서 텍스트와 작동은 별개이다. 셋째, 프로그램은 사실상 소스코드
  • 페이지 25페이지
  • 가격 3,500원
  • 발행일 2006.10.12
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
---------- p.26 Ⅴ. 결과 고찰 ----------------------- p.27 Ⅵ. 결 론 ------------------------- p.29 Ⅶ. 참고 문헌 ------------------------ p.30 Ⅷ. 부 록 (1) 전체 회로도 ------------------- p.31 (2) 프로그램 소스 ------------------ p.32
  • 페이지 40페이지
  • 가격 5,000원
  • 발행일 2009.11.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
조절기 다) 인버터 3. 연구목표 및 성과 가. 태양광 발전 시스템 (1학기 1) 설계목표 2) 회로도 3) 작동모습 나. 태양광 추적 모듈설계 (2학기) 1) 설계목표 2) 회로도 3) 프로그램 소스 4) 작동 모습 Ⅲ. 결론 참고문헌
  • 페이지 47페이지
  • 가격 3,000원
  • 발행일 2010.05.31
  • 파일종류 워드(doc)
  • 발행기관
  • 저자

취업자료 28건

소스 커뮤니티와의 협력을 강화하고, 최신 트렌드를 적극적으로 업무에 반영하겠습니다. 또한, 내부 직원들의 역량 강화를 위해 교육 프로그램을 운영하여 전체 조직이 함께 성장할 수 있는 환경을 조성하는 데 힘쓰겠습니다. 이러한 노력을
  • 가격 3,000원
  • 등록일 2025.05.06
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
프로그램] 자기소개서 (1) 1. 본인의 웹프로그램 개발 경험과 구체적인 역할에 대해 설명하십시오. 2. 팀 내에서 협업하여 문제를 해결했던 사례를 구체적으로 서술하십시오. 3. 본인이 생각하는 전산개발자의 강점과 이를 통해 회사에 기
  • 가격 3,000원
  • 등록일 2025.05.01
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
해 오픈소스 프로그램과 시험 장비를 적극 활용하였습니다. 팀원 간의 원활한 소통과 협력이 없었다면 빠른 문제 해결과 품질 확보는 어려웠을 것입니다. 이 프로젝트를 통해 팀워크와 협력의 중요성을 깊이 체감하였으며, 각자의 역할에 책
  • 가격 3,000원
  • 등록일 2025.05.02
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
완하려고 합니다. 특히, 프로그래밍과 데이터 분석 기술을 향상시키기 위해 온라인 강좌를 수강하며 오픈소스 프로그램을 활용하는 연습도 게을리하지 않았습니다. 앞으로도 계속해서 원자력 해체기술과 연관된 심화 연구를 수행하고, 국내
  • 가격 3,000원
  • 등록일 2025.05.10
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
 1부 - 자기소개서 쓰는 요령 1.자기소개서 작성요령 2.자기소개서 작성 법칙 2부 - 자기소개서 양식 (81건) 1. 합격자들의 입사 자기소개서 소스 - 목욕용품 유통회사(에바스) 2. 합격자들의 입사 자기소개서 소스 - 컴퓨터 프로그램 제작
  • 가격 3,000원
  • 등록일 2007.01.02
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top