|
1. 설계 목표
VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. 또한 시간을 분을 나타내는 기능 위에 스위치를 누르면 100분의 1초를 구현한다.
2. 설
|
- 페이지 18페이지
- 가격 2,000원
- 등록일 2011.10.24
- 파일종류 압축파일
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
;
ALARM_HUR : OUT INTEGER RANGE 23 DOWNTO 0;
ALARM_MIN : OUT INTEGER RANGE 59 DOWNTO 0;
SET_MODE : OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
BEEP : OUT STD_LOGIC
);
END COMPONENT;
TYPE WATCH_MD IS (M_TIME, M_ST_WATCH, M_ALARM, M_TIME_S);
SIGNAL WATCH_MODE : WATCH_MD;
SIGNAL MODE : STD_LOGIC_VECTOR (2 DOWNT
|
- 페이지 20페이지
- 가격 1,000원
- 등록일 2005.12.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Digital Watch
Tool : Altera QuartusⅡ
Device family : CycloneⅡ
Device : EP2C50F672C6
Hardware : Altera DE2 Board
- 목표 -
vhdl을 활용하여 디지털 시계(Digital watch)를 설계한다.
- 기능 -
1. 일반적인 시계 기능 : 셋팅 가능.
2. 알람 기능 : 해당 설정 시간에
|
- 페이지 21페이지
- 가격 4,000원
- 등록일 2012.08.14
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
= 6)then
tmp_value <= 1;
else
tmp_value<=tmp_value+1;
end if;
end if;
end process;
value<=tmp_value;
end beh; 디지털 시계의 VHDL 소스코드
◈ TOP
◈ clock_div
◈ clock1_div
◈ debounce
◈ MODE_GEN
◈ TIME
◈ TIMER
◈ SELECTOR
◈ int2seg2
◈ cnt_onetosix
|
- 페이지 20페이지
- 가격 4,000원
- 등록일 2010.10.30
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
ntity div1 is
port(
clk: in std_logic;
in_data: in integer range 0 to 999;
o_data: out std_logic_vector(15 downto 0);
--o_data_100: out std_logic_vector(3 downto 0);
--o_data_10: out std_logic_vector(3 downto 0);
--o_data_1: out std_logic_vector(3 downto 0);
o_cmplt: out std_logic
);
end div1;
archi
|
- 페이지 50페이지
- 가격 3,500원
- 등록일 2007.12.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|