|
library ieee;
use ieee.std_logic_1164.all;
entity control is port(
clk : in std_logic;
st_op: in std_logic;
sw1 : in bit;
sw2 : in bit;
segcontrol : out std_logic_vector(3 downto 0);
segment : out std_logic_vector(6 downto 0));
end control;
archit
|
- 페이지 18페이지
- 가격 2,000원
- 등록일 2007.03.28
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
dge clk) begin
q[3]<=e;
q[2]<=q[3];
q[1]<=q[2];
q[0]<=q[1];
end
endmodule
================================================
================================================
module shift4(clk, e, q);
input clk, e;
output [3:0] q;
reg [3:0] q;
always @(posedge clk) begin
q[0]<=q[1];
q[1]
|
- 페이지 6페이지
- 가격 1,800원
- 등록일 2013.07.05
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
efinitions
m_clk_process :process
begin
m_clk <= \'0\';
wait for m_clk_period/2;
m_clk <= \'1\';
wait for m_clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
m_reset <= \'0\';
wait for 50 ns;
startsig <= \'1\';
wait f
|
- 페이지 16페이지
- 가격 9,660원
- 등록일 2013.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
efinitions
m_clk_process :process
begin
m_clk <= '0';
wait for m_clk_period/2;
m_clk <= '1';
wait for m_clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
m_reset <= '0';
wait for 50 ns;
startsig <= '1';
wait for 50 ns
|
- 페이지 15페이지
- 가격 2,300원
- 등록일 2013.12.06
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
========================
clk-q delay(ps)
215.816
215.184
222.305
232.065
244.905
clk-q_bar delay
167.045
166.520
173.879
183.094
197.563
두 번째는 첫 번째와 반대로 입력 값이 Vin=0 일 경우이다. 즉 클럭은 일정하게 두고서 클럭의 라이징 에지에 Vin의 0값 변화점이 점점 접근
|
- 페이지 10페이지
- 가격 1,000원
- 등록일 2005.06.10
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|