• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,340건

디지털 실험에서는 전압(V)를 측정하는 것이 아니면 오차는 없을 것 같다. 결과 및 토론 이번 실험에서는 무효 BCD-코드 감지기에 대한 진리표를 작성하고 또한 카르노맵을 이용하여 표현식을 간소화, 다시 간소화된 표현식을 구현한 후 회로
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2010.04.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로』, 한빛미디어, 2005 3. 권오근 · 권준식 · 김용민, 『디지털공학 및 실험』, 인터비전, 2006. 4. 강안구 · 임석구 · 최지영, 『전자계산기 구조』, 한올출판사, 2007 5. M. Morris Mano, 『Digital Design』, http://matdol.hanseo.ac.kr, 2009.05.27 6. 미상,
  • 페이지 12페이지
  • 가격 2,000원
  • 등록일 2009.08.24
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
결과 바르게 적용되었다고 할 수 있겠다. 9.References *문헌 서명 : 현대 디지털공학실험 출판사 : 복두출판사 저자 : 구성모 초판발행 : 1997년 2월 25일 발행 서명 : 디지털회로 및 시스템실험 출판사 : 청문각 저자 : 대한전자공학회 초판발행 : 2
  • 페이지 29페이지
  • 가격 3,000원
  • 등록일 2008.11.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
브레드보드에 회로를 구성할 때 배선이나 선 색에 따른 시각적 모습 등 바쁜 마음에 완성도가 아직 많이 부족해서 더 노력해야할 것 같다. 디지털 공학 실험 8장 순차논리회로 설계 및 구현(2) 결 과 보 고 서 1. 결과 2. 검토 및 고찰
  • 페이지 3페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
카나프 맵 상에서 같은 양단 끝에 있는 1의 표시 칸은 합하여 1개의 루프로 만들어 묶을 수 있다. (Rolling) ▶ 비교기 회로도 ▶ 그림 8-5 회로도 논리회로의 간소화 ■ 실험 목표 ■ 사용 부품 ■ 관련이론 ■ 실험 순서 ■ 심층 탐구
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2010.04.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 시스템 / 송상훈 외7명 / 인터비젼 / 2005. 3. 28 / p.109 ~ p.111 ④ http://kin.naver.com/db/detail.php?d1id=11&dir_id=110215&eid=ueOG5dYR+Wnamc9xrJfciRPlbCP//J/o&qb=us6/77TrvPa29T8= 1. 목적 2. 이론 3. 사용기기 및 부품정보 4. 실험과정 및 결과예측 5. 참고자
  • 페이지 5페이지
  • 가격 2,000원
  • 등록일 2007.01.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로를 구성한 결과 표 8-5의 실험결과로 확인 할 수 있듯이 3, 6, 9 일 때 LED가 꺼지는 것을 보일 수 있음 비고 및 고찰 : 이번 실험은 무효 BCD-코드 감지기에 대한 진리표를 작성하고 Karnaugh맵을 이용하여 표현식을 간소화하여 회로를 간단하게
  • 페이지 7페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
각각의 고장이 회로에 어떠한 영향을 끼칠지 설명해 보라(어떤 고장은 아무 영향을 끼치지 않을 수도 있다). 예측에 자신이 없다면 모의 고장을 발생시켜 결과를 테스트해 보자. ○ 실험 목표 ○ 사용 부품 ○ 관련 이론 ○ 실험 순서
  • 페이지 4페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
8. Pairs, Quads, and Octets 8-1. Pairs 8-2. Quads 8-3. Octet 제9. 카르노 맵을 이용한 불 대수식의 간략화 제10. Product-of-Sums 방법 제11. Product-of-Sums 회로의 간략화 방법 참고문헌
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2005.12.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
25 / p.29 ~ p.38 ③ 디지털 시스템 / 송상훈 외7명 / 인터비젼 / 2005. 3. 28 / p.71 ~ p.80, p.80 ~ p.83, p.233 ~ p.236 ④ http://blog.naver.com/jka0511?Redirect=Log&logNo=140012216847 1. 목적 2. 이론 3. 사용기기 및 부품정보 4. 실험과정 및 결과예측 5. 참고자료
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2007.01.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top