• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,685건

설계를 하는 것과, FPGA 킷을 이용하지 않고 testbench를 이용해서 동작 특성을 보이는 것을 설계하는 것. 이렇게 두가지 방법이 있었는데, 우리 조는 FPGA 킷을 이용하지 않는 쪽을 선택하였다. 한 학기 동안 논리회로 설계실험 과목을 수강하면서
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로의 조합으로 구성되며 대표적인 기능을 갖는 것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다. 4. 참고 자료 -VHDL을 활용한 디지털 회로 설계 (한울출판사) -네이버 백과사전 1. 개 요 2. 문 제 (1) 3*
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계에는 용이하지 않음을 알게 되어서 상태변수와 플리플롭을 사용하여 자판기 설계를 다시하였다. 카르노맵간소화 회로설계 프로그램 구현 스위치를 누를 때 단발 펄스 발생 Cedar logic simulator 를 이용하여 자판기 회로를 구현해 보았다. 
  • 페이지 10페이지
  • 가격 13,860원
  • 등록일 2012.09.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
nt seg -- 사용 할 소회로 선언 port ( clk_4M : in std_logic; rstb : in std_logic; seg : out std_logic_vector (6 downto 0); digit : buffer std_logic_vector (5 downto 0) ); end component; signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화 signal CLK_4M : std_logic:=\'0\'; signal DIGIT
  • 페이지 5페이지
  • 가격 1,500원
  • 등록일 2019.06.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
파란줄에서 현재상태가 S1(100원)일때 입력값 I1(100원)을 입력해주면 출력값이 C(커피 출력)와 E(거스름돈 50원)가 되고 다음상태 S0, S1이 0(남은돈 0)이 됨을 확인할 수 있다. 회로설계 여기표 논리식 회로도 단발펄스 7-세그먼트
  • 페이지 18페이지
  • 가격 2,000원
  • 등록일 2013.06.07
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로 설계 ▶ A1, B1, A0, B0의 input과 BR1, D1, D0, BR0의 output GATE와 NOT, AND, OR, XOR게이트를 이용하여 논리도를 만들고, Compile을 한다. 3) 결과 분석 ▶ Simulation 한 결과 input output A1 A0 B1 B0 BR1 D1 D0 BR0 0 0 0 1 1 1 1 1 0 1 0 1 0 0 0 0 0 1 1 1 1 1 0 0 1 0 1 0 0 0 0 0 1
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2013.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1.실험 설계 목적 논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 있는 제품을 설계 • 제작한다. 2.실험 설계 주제 자판기 회로 설계 • 제작 3.제품 사양 A.100원 및 500원 동전만 사용 가능하며, 최대 500원까지 투입할
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2010.04.04
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하는 실험이었다. 지난 번 실험 때는 vhdl이라는 것이 너무나도 생소하였고, model sim이나 xilinx 프로그램을 태어나서 처음 접하였기에 많이 헤맸었다. 하지만 실험 내용을 집에서 연습해 보면서 어떤 방식으로 논리회로가 동작하는지 원리
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
+ b′ bc a 0 1 00 1 1 01 1 1 11 1 0 10 1 0 East a b c ←G YG R 0 0 0 0 0 1 0 0 1 0 0 1 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 0 1 1 0 1 0 0 1 1 1 0 1 0 0 1 1 1 0 1 0 ←G = a b c′ , YG = a b c , R = a′ + b′ 1. 실험제목 2. 실험개요 3. 상태변화표 4. 상태변화표에 따른 카노맵 작
  • 페이지 2페이지
  • 가격 1,300원
  • 등록일 2007.04.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
f door_lock_mod_tb is component door_lock port ( clk : in std_logic; rst : in std_logic; ps_start : in std_logic; ps_end : in std_logic; ps_mod : in std_logic; ps_num : in std_logic_vector (3 downto 0); door_open : out std_logic; alarm : out std_logic ); end component; signal clk : std_logic; signa
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2014.02.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top