|
가산기의 입력캐리가 된다
component bitadder port (A1, B1, CIN : IN std_logic;
COUT, SUM1 : OUT std_logic);
END component; -- 컴포넌트의 Bit Adder 내부신호포트 선언
BEGIN -- 컴포넌트 사례화문
add1 : bitadder port map (A1, B1, CIN, carry1, SUM(1));
add2 : bitadder port map (A2, B2, carry1
|
- 페이지 6페이지
- 가격 1,800원
- 등록일 2014.03.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
가산기를 연결로 간편하지만 아랫단의 계산이 완료되어야만 그 Carry를 받아서 윗단의 계산을 할 수 있으므로 동작시간이 비교적 길게 걸린다는 단점이 있다
전가산기 하단의 계산 시간이 30ns정도이므로 4비트 병렬 가산기의 경우 120ns의 시간
|
- 페이지 10페이지
- 가격 1,000원
- 등록일 2002.12.20
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
가산기
<진리표> <논리표>
BCD 가산기 시물레이터 결과 값
<BCD 가산기 소스>
Library ieee;use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity scdrum4 is
port ( s,w : in std_logic_vector(3 downto 0);
c_in : in std_logic;
y :buffer std_logic_vector(3 downto 0);
s_o
|
- 페이지 7페이지
- 가격 1,000원
- 등록일 2008.04.10
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
가산기는 입력 2진수에 0011(십진수3)을 더해야 하고, 1001보다 zmkaus 4비트 2진수를 Excess-3 코드로 변환하기 위해서 1001(십진수 9)을 더해야 한다. 문제는 표 11-2에 요약되어 있다. 7483A에 개방되어 있는 입력을 어떻게 연결할지를 결정하고, 회로도
|
- 페이지 17페이지
- 가격 1,500원
- 등록일 2015.04.11
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
4비트 덧셈기를 구현하자.
3. 관련 이론
Altera simulation tool의 사용방법
MAX+PLUSⅡ
QuartusⅡ
과제(덧셈기/뺄셈기 구현)을 Altera의 graphic editor를 이용해 모의실험.
Altera Quartus를 이용한 디지털 회로 설계에 관한 문서
DigitalDesign1.pdf
전가산기:
|
- 페이지 4페이지
- 가격 1,600원
- 등록일 2015.02.26
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|