• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,462건

시한폭탄 설계내용 입니다. VHDL로 설계한 시한폭탄
  • 페이지 5페이지
  • 가격 10,000원
  • 등록일 2008.12.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
ture data_flow of comp is begin equal <= not(a(3) xor b(3) ) and not( a(2) xor b(2) ) and not( a(1) xor b(1) ) and not( a(0) xor b(0) ); end data_flow; 2.시뮬레이션 1)flow summary 2) wave form 3) time analyzer Summary 3. 블록다이어그램 ◆ comp2(process문 사용) 1.소스 library ieee; use ieee.std_log
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계 황선영 교수님 강의 자료 McGraw-Hill, Fundamentals of Digital logice with VHDL design, Brown&Vranesic, 2/e 아진, 실습으로 배우는 VHDL, 이강/장경선, 증보판 http://asicfpga.com/site_upgrade/asicfpga/pds/dsp_pds_files/mul.ppt 1. 제목 : 고속 동작 곱셈기 설계 2. 목적
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하였다. 여태까지 했던 실습들은 vhdl코딩만 하고 자일링스 프로그램만 돌리면 끝이었는데 이번 실습부터는 실제 킷을 사용하여 결과물을 킷에 출력도 해보고 하는 것이라 처음에는 약간 어렵고 난해하였으나, 그동안 그래도 배워온 것들
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 6건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
실습체험 연구. 한국간호교육학회지 6(1). 23-35. 1. 서론 1) 연구의 필요성 2) 연구문제 3) 용어의 정리 2. 연구방법 1) 연구설계 2) 연구대상 3) 연구도구 4) 자료수집 분석 및 절차 5) 자료분석방법 3. 연구결과 1) 대상자의 일반적 특성 2)
  • 페이지 10페이지
  • 가격 2,000원
  • 발행일 2013.01.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실습만족도는 증가하였다 (양남영. 2011) Ⅰ. 서론 1. 연구의 필요성 2. 연구의 목적 Ⅱ. 문헌고찰 1. 셀프리더십 2. 대인관계 3. 셀프리더십과 대인관계 4. 용어정의 Ⅲ. 연구방법 1. 연구설계 2. 연구대상 및 표집방법 3. 연구도구 4.
  • 페이지 37페이지
  • 가격 2,000원
  • 발행일 2014.11.02
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
설계하정부터 신경을 써야 할 것이다. 참 고 문 헌 1. 김혁, 현대 정보시스템감사론, 무역경영사, 1996 2. 김길조 강재성, IT 환경하의 회계감사교육 개선방안, 한국경영학회 경영관련학회 통합학술대회, 2001.8.22 3. 김길조 외 2인, 전산감사기법
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2009.05.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 387건

설계에 관한 기초지식과 설계 툴 사용에 관한 스터디를 하였고 다양한 회로에 대한 세미나를 열었습니다. 그리고 방학을 통해 개설되는 다양한 강좌를 수강하고 실습을 하면서 역량을 키울 수 있었습니다. 이러한 연구실 활동 속에서도 전공
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계에 대한 전문성 그리고 소통 역량이라 생각합니다. 저는 교내 설계 프로젝트, 공모전, 창의융합캠프, 현장실습 등의 과정을 통해 기구 설계에 대한 전문성을 높이고, CATIA 교육, CAD 교육을 통해 Tool을 다룰 수 있는 역량을 향상시켰습니다.
  • 가격 4,000원
  • 등록일 2024.01.16
  • 파일종류 워드(doc)
  • 직종구분 산업, 과학, 기술직
설계 분야에 대해서 관심을 가지고 있습니다. 이를 위해 필요한 설계에 대한 전문성 그리고 소통 역량을 갖추기 위해 다음과 같이 노력해왔습니다. 저는 교내 설계 프로젝트, 공모전, 창의융합캠프, 현장실습 등의 과정을 통해 기구 설계에 대
  • 가격 4,000원
  • 등록일 2024.03.01
  • 파일종류 워드(doc)
  • 직종구분 산업, 과학, 기술직
설계 및 분석 능력을 향상시키는 과정도 이수하였습니다. 이 과정에서 전기적 특성 분석과 시뮬레이션을 통해 실무에서 적용 가능한 기술적 능력을 키울 수 있었습니다. 또한, MATLAB을 활용하여 전기적 특성 시뮬레이션을 실습하며, 차단기 설
  • 가격 2,500원
  • 등록일 2025.04.17
  • 파일종류 한글(hwp)
  • 직종구분 전문직
도시분석’에서는 Python 기반의 공간통계 분석과 지도 시각화를 실습하였으며, 이를 통해 향후 연구계획에서 정량적 근거를 바탕으로 설계 전략을 제시할 수 있는 기반을 마련할 수 있었다 하겟으며 대학원 진학 후에는 이러한 과목들을 심화
  • 가격 4,500원
  • 등록일 2025.07.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
top