• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 760건

<=\"1101\"; wait; end process; end; 7. 시뮬레이션 결과 ① 입력모드 확인 ② 수정모드 확인 ③ 알람 확인 1 ④ 알람 확인 2 1. 도어락의 개요 2. 입력, 출력 및 상태 3. 동작원리 4. 다이어그램 5 소스 코드 6. Test Banch 코드 7. 시뮬레이션 결과
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2014.02.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
variable에서는 variable m은 signal b와 c로 즉시 바뀌는 것을 볼 수 있다. ◆ if 1. 소스 library ieee; use ieee.std_logic_1164.all; entity iff is port(a,b,c : in bit; y : out bit); end iff; architecture sample of iff is begin process(a,b,c) begin if (c = \'1\') then y <= a nand b ; else y <= a o
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 (1) VHDL code중에 cnt= "111101000010001111"는 2진수로 표현한 값이다. 10진수와 16진수로 변경하여 입력 하기 위해선 어떻게 해야 하는지 설명하시오. (16진수 표현법은 X"값“) (2) 이번실험에서 2개 바퀴를 위해 변경 한 부분의 소스를 쓰고 설
  • 페이지 3페이지
  • 가격 3,360원
  • 등록일 2013.05.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL로 작성한다. <그림 2-39> 프로그램 메모리 VHDL 코드 2) 마이크로프로세서의 타이밍 설계한 마이크로프로세서의 모든 명령어는 기본적으로 기억장치 및 레지스터 동작의 조합으로 생각 할 수 있다. 명령어 동작은 위의 기본적인 상태 클
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Digital Watch Tool : Altera QuartusⅡ Device family : CycloneⅡ Device : EP2C50F672C6 Hardware : Altera DE2 Board - 목표 - vhdl을 활용하여 디지털 시계(Digital watch)를 설계한다. - 기능 - 1. 일반적인 시계 기능 : 셋팅 가능. 2. 알람 기능 : 해당 설정 시간에
  • 페이지 21페이지
  • 가격 4,000원
  • 등록일 2012.08.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 8건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
설계 동기와 목적 전체 시스템 블록도 및 계층도 LCD display LED/7 Segment display 하드웨어 설계 통합 단위 모듈 테스트 H/W 구현 및 외관 제작 결론 설계 동기
  • 페이지 9페이지
  • 가격 2,800원
  • 발행일 2009.07.20
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
---------- p.26 Ⅴ. 결과 고찰 ----------------------- p.27 Ⅵ. 결 론 ------------------------- p.29 Ⅶ. 참고 문헌 ------------------------ p.30 Ⅷ. 부 록 (1) 전체 회로도 ------------------- p.31 (2) 프로그램 소스 ------------------ p.32
  • 페이지 40페이지
  • 가격 5,000원
  • 발행일 2009.11.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
소스파일과 실행파일, 마이컴부분 소스파일이 포함되어 있습니다. 회로도는 첨부된 보고서에 작성되어 있습니다. 목 차 1. 개발개요 2. 주차장 관리 시스템 설계내용 2.1 하드웨어 구성 2.1.1 전원부 2.1.2 센서부 2.1.3 모터
  • 페이지 20페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 42건

소스 프로젝트 참여를 통해 코드 품질 향상과 협업 능력도 함께 높이고 있습니다. Q6. 실패 경험과 이를 극복한 방법을 구체적으로 말씀해 주세요. 대학 프로젝트에서 설계한 프로그램이 기능적 오류로 여러 차례 실패한 경험이 있습니다. 문
  • 가격 3,000원
  • 등록일 2025.06.25
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
설계 단계에서부터 효율적인 아키텍처를 고려하고, 최적화된 회로 설계를 수행하는 것이 중요합니다. 2) RTL 설계 경험이 있습니까? 답변: 네, Verilog 및 VHDL을 활용한 RTL 설계 경험이 있으며, FPGA 프로젝트에서 FIR 필터 및 영상 처리 모듈을 설
  • 가격 4,000원
  • 등록일 2025.03.20
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
서도 이 툴을 통해 4-layer 보드를 설계해본 경험이 있습니다. 또한, KiCad도 병행 학습하여, 상황에 따라 오픈소스 기반의 툴도 자유롭게 활용할 수 있는 유연함을 갖추고 있습니다. 7. 설계 중 실패를 경험했던 적이 있나요? 그 원인은 무엇이었
  • 가격 4,000원
  • 등록일 2025.07.23
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
소스코드 분석엔진 개발에 매우 유용할 것으로 믿습니다. 5. 입사 후 포부 슈어소프트테크에 입사하게 된다면, 첫 번째로는 기존 소스코드 분석엔진의 기능을 면밀히 분석하고, 정적 분석 도구의 성능을 최적화하는 데 집중하겠습니다. 특히,
  • 가격 2,500원
  • 등록일 2025.04.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
구 방법론 측면에서는 시뮬레이션, 설계, 검증을 유기적으로 연결하는 종합적 연구 방식을 따르겠습니다. 구체적으로 Verilog/VHDL 기반의 디지털 설계, HSPICE 기반의 아날로그 회로 시뮬레이션, TCAD 기반 소자 특성 분석, 그리고 MATLAB, Python 기반
  • 가격 4,500원
  • 등록일 2025.07.07
  • 파일종류 한글(hwp)
  • 직종구분 기타
top