• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 7,913건

회로라 한다. 그림 3.2를 논리식으로 표현하면 식(3-1)과 같이 된다. Y =Y1 Y2 Y3 =AB CD EF 식(3.1)에서 마지막 항은 각 변수에 OR를 취한뒤 반전된 형태이다. 드모르간의 정리를 dldydgkaus 식(3.1)은 다음과 같이 된다. Y=AB+CD+EF 드 모르간의 정리를 이용하
  • 페이지 4페이지
  • 가격 500원
  • 등록일 2010.04.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 (6) 그림4-9의 회로를 결선하고 입력 W, X, Y, Z의 변화에 따른 출력 F값을 측정하라. 그림4-9 간략화 회로 5. 참고자료 ① TTL응용 실무 / Don Lancaster / 한국과학원 / 1977. 6. 30 / p.37 ~ p.119 ② 디지털공학실험 / 이병기 / 喜重堂 / 1992. 2. 25 / p.29 ~ p.38
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2007.01.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험 1 참조) 부울 대수로 간략화하여 논리적의 논리화 형태의 논리회로를 그리시오. *진리표 A B C Y 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 1 *논리회로 (2) 다음의 논리식에 대한 진리표를 작성하고, 이에 대한 카르노도를 작성하시오. (
  • 페이지 10페이지
  • 가격 4,200원
  • 등록일 2013.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험 2. 논리회로의 간략화 결과 레포트 (a) NOT 게이트 PSPICE 시뮬레이션 결과 *실험결과* A Y 0 1 1 0 (b) AND 게이트 PSPICE 시뮬레이션 결과 *실험결과* A B Y 0 0 0 0 1 0 1 0 0 1 1 1 (c) OR 게이트 PSPICE 시뮬레이션 결과 *실험결과* A B Y 0 0 0 0 1 1 1 0 1 1 1 1 (d) NO
  • 페이지 10페이지
  • 가격 3,300원
  • 등록일 2012.12.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리이다. ④ XNOR은 XOR의 보수를 구할 수 있다. (∵ 왜냐하면 카르노 맵으로 표현 가능한 모든 논리회로는 NOR이나 NAND 만으로 표현 가능하기 때문이다. ) 부울대수의 정리 예비 보고서 1. 실험 목적 2. 기본 이론 3. 실험 방법 4.
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2015.02.06
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 18건

rding Length : 250K Trigger Position : 40 Cycles : 5 10 5 25 5 2.3.2 실험 방법 [그림 1-3] 3차권선 실험 계통도 등가회로 [그림 2]는 사고전류제한기의 실험 계통도를 나타내었으며 [그림 3]는 이 계통을 등가회로로 나타내었다. 사고전류제한기의 특성을 알아
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실험 5.1 조작부 회로기판 < LCD 부착 전 > < LCD 부착 후 > < 조작부 뒷 판 > [그림 5-1] 조작부 회로기판 5.2 동작부 회로기판 < 동작부 회로기판 앞 > < 동작부 회로기판 뒤 > [그림 5-2] 동작부 회로기판 앞뒤 5.3 동작부 몸통(회로
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하여 위상간의 차이를 전하펌프에서 전류의 크기로 바꾸어 전
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로설계 시 필요한 많은 이론과 실험 수업을 통해 실제적인 지식을 적용시켜 작품을 만들어 낸 것은 보람이 있었다. 학부 과정 중 배운 이론을 토대로 시물레이션(P-SPICE)을 이용해 회로를 디자인하고 실제로 제작을 해보는 과정에서 제작 시
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 71건

논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)/A+] 회로를 이해하고 분석할
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로설계(2014) / A학점 취득 물리전자(2014) / B+학점 취득 마이크로0000(2014) / A학점 취득 종합00프로젝트(2015) / A학점 취득 컴퓨터00(2015) / A학점 취득 0000설계실험B(2015) / A+학점 취득 0000프로젝트2(2015) / A학점 취득 1. 자기소개서1 1) LG디스플레
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
실험 진행 19/07~19/08 교외 포항공과대학교 인턴십 OOO 교수님의 OOO lab에서 인턴십 19/01~19/02 교내 이화여자대학교 인턴십 OOO 교수님의 Ewha Brain Institute에서 인턴십 19/06~19/10 교외 서울대학교 전국 대학생 생물학 심포지엄 노화 뇌에서 발생하는 세
  • 가격 2,300원
  • 등록일 2021.02.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top