• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 33건

시뮬레이션 적용 연구, 한국교통연구원 : 지속가능성 제고를 위한 교통과 도시개발의 융합적 접근에 관한 정책 세미나 발표자료. 6. The Open Platform for Urban Simulation and UrbanSim Version 4.2 Users Guide and Reference Manual, Center for Urban Simulation and Policy Analysi
  • 페이지 9페이지
  • 가격 3,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-5>배터리 SOC에 대한 C프로그램 시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-6> FCHEV 시뮬레이터의 기준속도와 실제속도 실험 결과 <그림Ⅲ-7> FCHEV 시뮬레이터에서 배터리 SOC 실험
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
서론 2. 로봇 개론과 설계 2.1 로봇의 개론 2.2 로봇의 CATIA설계 3. DAFUL 개론과 시뮬레이션 3.1 DAFUL의 개론 3.2 로봇의 구속조건 3.3 로봇의 시뮬레이션 4. 결과와 분석 5. 고찰 6. 참고 문헌 및 도움 주신분
  • 페이지 43페이지
  • 가격 5,000원
  • 발행일 2012.06.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션을 수행하였다. 신뢰성과 내 환경성에서 우수한 특성을 가지고 있는 AC 서보 모터는 가전분야의 지속적인 시장점유와 공작기계를 포함한 산업용기기 분야의 폭넓은 시장을 가지고 있었으나 타모터에 비하여 상대적으로 낮은 효율
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
OFDM Modulation/Demodulation, Channel Coding/Decoding 등이 포함된 시뮬레이션용 C++언어 소스코드입니다. Visual Studio 6.0 기반으로 만들었습니다. 
  • 페이지 41페이지
  • 가격 8,000원
  • 발행일 2009.06.08
  • 파일종류 압축파일
  • 발행기관
  • 저자
시뮬레이션 결과에 대한 기술통계량을 보여주고 있다. NPV 최소 값으로는 19,335,870,000원, 최대값은 55,327,460,000원, 평균값은 17,204,620,000원, 표준편차 11,083,570,000원, 외도는 0.3342264, 첨도 3.060628, 중앙값은 13,091,960,000원으로 나타났다. <그림1>
  • 페이지 15페이지
  • 가격 2,800원
  • 발행일 2012.12.05
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션을 끊임없이 연구개발해야 한다. 즉 제조업체들이 스틸캔의 장점인 내구성, 수송과 취급의 용이성등을 인식하여 포장용기의 재질을 스틸캔으로 전환하려는 판단을 하기 전에 먼저 제조업체들의 제품을 스틸캔으로 포장전환하여
  • 페이지 17페이지
  • 가격 2,000원
  • 발행일 2007.12.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
simulation approach", International Journal of Physical Distribution & Logistics Management, Vol. 31 No.2(2001), 2001, p.124-139 Troyer T., and D. Denny, "Quick Response Evolutions", Discount merchandiser, Vol. 32(1992), 1992, p.104-107 Cannon J. P., William D. PerreauIt Jr, "Buyer-Seller Relationsh
  • 페이지 46페이지
  • 가격 5,000원
  • 발행일 2007.12.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션을 이용하여 특성을 알아보았다. 아래에 보이는 그림 4.5, 4.6, 4.7이 각각 Lock time analysis, Phase noise, Bode plot를 나타내는 그림이다. 그림 4.5 Lock time analysis 그림 4.6 Phase noise 그림 4.7 Bode plot 4.2.2 PLL 제작 및 측정 실제로 설계하여 제작한
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
신호보다 큰 신호를 내보낼 수 없습니다. 따라서 여기서 말하는 이득이란 방향성 (directivity)으로 인해 파생되는 상대적 이득을 의미하게 되는 것입니다 -안테나 이론 -안테나 설계 (패치 설계, PAA설계) -시뮬레이션 결과 -결론
  • 페이지 12페이지
  • 가격 3,000원
  • 발행일 2008.11.07
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
이전 1 2 3 4 다음
top