• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,526건

bit Adder & Subtractor 실험목표 ①Half adder 와 Full-adder를 구성한다. ②Half adder 와 Full-adder를 이용하여 4bit Adder & Subtractor를 코딩한다. 실험결과 4bit adder 코딩과 시뮬레이션 0100+1000=1100 0011+1000=1011 4bit Subtractor 코딩과 시뮬레이션 1000-0101=0011 4bit adder+Su
  • 페이지 3페이지
  • 가격 1,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
er에서 발생된 캐리들(가장 마지막 것은 제외)이 wire를 통해 다음 Full Adder로 넘어가는 것을 출력 값으로 보여주기 위함이다. line 6 : 다음 페이지의 그림을 살펴보면 adder와 subtractor의 차이점이 무엇인지 쉽게 알 수 있는데, subtractor는 adder와 달
  • 페이지 3페이지
  • 가격 1,300원
  • 등록일 2014.04.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
(1) 반가산기(half adder) (2) 전가산기(full adder) 5. Simulation 실험1 - Half Adder 실험2 - Full Adder 실험3 - 2 Digit Adder 실험4 - 2 Digit Adder_Subtractor 6.Experimental Results 실험 1~5 A. Data B. Discussion 7. Analysis 8. Conclusion 9. References
  • 페이지 29페이지
  • 가격 3,000원
  • 등록일 2008.11.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
(공주대학교 디지털 가상실험실) 6)http://princess.kongju.ac.kr/DigitalMain/dvlec/textbook/chap06/digital06_frame.htm (가산기, 감산기에 대한 전반적 이론과 소자들의 데이터시트 자료) 3. Datasheet 1.실험 제목 2.실험 목적 3.실험 이론 4.결과 예상치
  • 페이지 17페이지
  • 가격 2,000원
  • 등록일 2007.01.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
제목 : 고속 동작 덧셈기 설계 2. 설계 목적 3. 설계 내용 4. 분석  1) CLA (Carry Look Ahead Adder)  3) CSA (Carry Select Adder)  2) 4bit CLA Block 4개를 연결하여 16bit CLA 구현을 해준다. 5. 시험 및 평가  * 16 bit CLA 6. 논의사항 참고서적
  • 페이지 8페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 28건

-------------------- 1) 부품별 기초 자료 2) 기능별 기초 자료 Ⅲ. 작품기능 시스템 구성 및 내역-------------------------- 1) 흐름도 2) 각 기능별 회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 연구설계 56 1. 연구모델 설정 56 2. 연구문제 및 가설 설정 57 3. 측정변수의 조작적 정의 59 4. 자료수집방법 64 5. 측정변수의 신뢰성 및 타당성 66 제2절. 분석 결과 73 1. 표본의 인구통계적 특성 73 2. 중요 변수의 인구통계적 특성 76
  • 페이지 198페이지
  • 가격 5,000원
  • 발행일 2016.04.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 Ⅰ. 서 론 1. 비교행정이론과 발전행정이론의 배경 및 특징. 2. 발전행정이론 한계-국가발전을 위한 무조건적인 행정발전 치중에 따른 한계. 3. 발전행정이론의 필요성. -시대상황에 대한 인식이 고려된 평가가 필요. 4. 발전행정 개혁의
  • 페이지 8페이지
  • 가격 3,300원
  • 발행일 2012.11.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
<표5-2. 비용> 항목 세부항목 소요비용 재료비 시제품가공비 기타 경비 목차 1장. 작품과제 필요성 2장. 작품과제 해결 방안 및 과정 3장. 개념설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2절. 각 블록 설명 3장. 2절. 1 Hamming encod
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 1. 서 론 2. 시스템 구성 및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와 모터 구동부 2.2.3 전원부 2.3 로봇암의 구동 프로그램 3. 시스템 제작 및 테스트 3.1 마이크로프로세서 구동회로 제
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 55건

시스템/A+] 현대제어이론의 기초가 되는 과정을 학습. [전기전자실험/A+] 회로에 대한 실험을 통해, 자료를 이해. * 사진 1MB 직무- 제품 (주)하이닉스반도체 자소서 항목을 확인하세요^^ 1. 대학 및 대학원 시절 (1000자 이내) [하나로 뭉쳐
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
시스템을 Cadence로 설계하여 심화된 역량을 길렀습니다. 특히 프로그래밍의 재미를 느꼈던 ‘디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
및 분석. LED에 인가되는 전압 신호 측정 및 분석. 설계 과제물 및 보고서 학년 / 학기 교과목 / 담당 교수 프로젝트 명칭 3학년 교내 캡스톤 디자인 경진대회 / 권 보 규 교수님 초음파 센서를 이용한 시작장애인 보행지원시스템(Porototype 1) 주요
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
설계와 C++을 이용한 영상처리 역량을 갖추었습니다. 셋째, 저는 다양한 임베디드 작품 개발 경험이 있습니다. 2년간의 소모임 활동과 프로젝트 진행을 통해 IOT 펫 하우징 시스템, 자동연주 피아노 등의 회로설계부터 코딩까지 직접 작품 제작
  • 가격 3,000원
  • 등록일 2023.06.15
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계, CATIA, 기구학, 제어시스템설계 등의 다양한 설계 과목을 비롯하여 진동 및 소음에 관련된 과목을 들으며 설계 시 고려해야 할 여러 변수에 대한 개념들을 습득하였다. 그리고 재료, 유체, 제어, 진동 그리고 열 및 열전달 실험과 설계 프
  • 가격 2,000원
  • 등록일 2009.05.27
  • 파일종류 워드(doc)
  • 직종구분 기타
top