• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 357건

1.Definition of VHDL 2.What & Why HDL? 3.HDL의 종류 4.VHDL’s History 5.Benefits of VHDL 6.Design Automation 7.디지털 논리회로의 설계환경 변천 8.Design Flow 개발환경의 이해 및 실습 - 강의순서 1.Design Entry 2.Project Compilation 3.Project Simulation 4.Device Programming
  • 페이지 56페이지
  • 가격 3,000원
  • 등록일 2006.09.25
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
강의 순서 Definition of VHDL What & Why HDL? HDL의 종류 VHDL’s History Benefits of VHDL Design Automation 디지털 논리회로의 설계환경 변천 Design Flow 2.개발환경의 이해 및 실습 - 강의순서 Design Entry Project Compilation Project Simulation Device Programming
  • 페이지 54페이지
  • 가격 3,000원
  • 등록일 2006.11.27
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Flow controller ………………………………… 7   3.1.2. Pressure controller ………………………………… 8   3.1.3. Low selector ………………………………… 8   3.1.4. Scope ………………………………… 10  3.2. Flow controller의 Tuning ………………
  • 페이지 37페이지
  • 가격 13,860원
  • 등록일 2012.12.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Design ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 3 1) Network 방식 결정 ‥‥‥‥‥‥‥‥‥‥‥‥‥ 3 2) Packet Design ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 3 3) Network Flow Design ‥‥‥‥‥‥‥‥‥‥‥‥ 5 3. System Design ‥‥‥‥‥‥‥‥‥‥‥‥‥‥
  • 페이지 62페이지
  • 가격 3,000원
  • 등록일 2007.11.09
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Design and Analysis, 139p~185p, T.Michael Duncan and Jeffrey A.Reimer - Chemical Engineering Laboratory Ⅱ, SungKyunKwan Univ. chemical Engineering. - Introduction to Distillation, http://Iorien.ncl.ac.uk/ming/distil/distil0.htm - http://www.wikipedia.org 1. Objective 2. Theory 3. Apparatus 4
  • 페이지 10페이지
  • 가격 1,200원
  • 등록일 2009.12.24
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 11건

Softwafer 4. Test Process Flow 5. Open/Short Test 6. Leakage test 7. IIL/IIH Test 8. VIL/VIH, VOL/VOH Test 9. IDDS 10. DataSheet 11. Functional Test 11-1. DFT(Design for Test) 11-2. SCAN Test 11-3. BIST(Built-in Self Test) 11-4. Boundary SCAN(JTAG) 11-5. NAND Tree Test 12. Backend Pro
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2008.12.24
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
flow)을 입력 ③Analysis Type을 선택. Default 값은 “Filling Analysis"이다. ④Interface Project의 경우 필요한 경우에만 활성화 된다. ⑤Part File의 경우 모델러에서 지정한 File Name을 선택하면 된다. 2) 수지의 선정 ① 수지를 선택하기 위해 Boundary Conditions 창
  • 페이지 11페이지
  • 가격 4,000원
  • 발행일 2009.07.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디자인 개념 7 (1) 인터넷 컨텐츠 디자인 정의 7 (2) 인터넷 쇼핑몰에 나타난 컨텐츠의 특성 9 3. 인터넷 쇼핑몰의 발전 10 III. 인터넷 쇼핑몰 컨텐츠 디자인의 감성 지향적 가치 추구 11 1. 인터넷쇼핑 동기에 있어서 소비감성 12 2. ‘몰입’
  • 페이지 17페이지
  • 가격 3,000원
  • 발행일 2010.01.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
흐름이 자연스럽게 움직여 갈등을 느끼지 않을 것이다 <그림 5> 한눈에 4번 게이트라는 것이 보인다 <그림 6> 웹 디자인에서 점점 그 역할이 커지고 있는 무빙 타이포그래피와 현재 야후 홈페이지의 타이포그래피 <그림 7> 단어: 자폭과 자간
  • 페이지 72페이지
  • 가격 8,900원
  • 발행일 2008.10.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Design and Implementation, Seattle, WA, PP.107~122 [4]J, Nieh and Monica S. Lam(1997), " the Design, implementation and Evaluation of SMART : A Scheduler for Multimedia Application," Proceedings of 16 th ACM Symposium on Operrating System Principles, St Malo,France,October [5]A K, Mok, Deji Chen(199
  • 페이지 19페이지
  • 가격 3,500원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1건

of MOST and Process Failure Effect Mode Analysis ● Application Software: MS Word, MS Power Point, MS Excel, Mat Lab ● Thermo-fluid System Simulation Software: Flow master * Resume - PROFILE - TECHHICAL SKILLS - EDUCATION - RELEVENT EXPERIENCE - OTHER EXPERIENCE - REFERENCES * Cover L
  • 가격 2,000원
  • 등록일 2011.05.17
  • 파일종류 워드(doc)
  • 직종구분 산업, 과학, 기술직
top